costas环verilog实现,包含完整的verilog代码
2023-04-16 14:35:42 5KB costas环 verilog costas
1
采用DSP载波同步的高阶COSTAS环路实现、电子技术,开发板制作交流
1
Costas环是一种闭环自动调整系统,常用于抑制载波的相位调制系统中提取参考载波信号。文中介绍了Costas环的基本原理,提出了一种用CIC滤波器代替环路滤波器的方法,并根据该原理用matlab的simulink工具箱对costas环进行了建模和仿真,最后在QuartusII+ModelSim环境中用Verilog语言实现了该算法,并下载到软件无线电硬件电路中验证了该算法的正确性。仿真和实验结果表明,该Costas环路具有十分优良的性能。
2022-12-14 13:48:27 1.96MB 工程技术 论文
1
一种改进的Costas环设计方法,数字costas 环的设计原理
2022-04-12 12:42:26 4.76MB costas loop
1
主要是costas环的仿真,有利于对costas环的理解
2022-03-25 20:11:54 2KB costas
1
经典的COSTAS的FPGA实现论文,详细描述了实现过程中的参数设计及时序要求。
2022-03-17 16:41:03 127KB Costas fpga
1
COSTAS环解调器设计及实践初探,对于设计BPSK,QPSK的有用
2022-02-16 21:34:17 7.33MB costas 设计
1
QPSK调制解调完整C语言程序,包含高斯噪声、costas环等,VS2010调试通过
2021-11-21 13:22:21 8KB QPSK 调制解调 高斯噪声 costas环
1
自己写的科斯塔斯环在QPSK中的应用,自己写的看起来会很容易,主要的就是需要自己生成一个10序列作为输入信号即可
2021-11-11 14:50:47 8KB costas
1
Matlab的costas环的FPGA实现-costas_loop.mdl 我下载了一个simulink的costas环的仿真模型,经过测试,其功能实现完全正确,costas环的原理也大致明白了.最终是想在FPGA上实现,也已经将各个模块在fpga上实现了,但是仿真后不对.所以想请教大家,谁做过这方面的项目,能否讨论一下.我在网上也下载了不少资料,看了之后,发现都是特别笼统,细节都没说.而且其文章都是 张欣 的哪本书上说的一模一样.经过几天的研究,有几个问题想请教大家 1.二阶环路滤波器的参数如何计算 2.鉴相器提取的误差信号是和nco的频率控制字还是相位控制字累加 3.中间几个模块的运算后的舍入对同步的结果有没有影响 上面的问题有的文章中有介绍,但都是直接给出答案,没有中间过程.我希望有高手能够将一个实际的系统,从头到尾给说明白. 网上有人说这个很简单,但对我说,觉的比较难.如果我能实现一定将成果写成文章由大家共同分享,让后面再做的人少走弯路
2021-11-05 09:25:18 161KB matlab
1