教程使用博途V15.1制作,需要此版本及以上才能打开。压缩包内含程序和HMI仿真,可以直接使用HMI仿真查看效果,与实际效果一样。 硬件电路:直接按键控制LED灯。 项目要求:按键配合实现8位LED的流水灯/跑马灯功能。 项目目的:学习移位和循环的指令。 项目功能:设置启动、停止按钮和8个圆形的LED灯。按下启动按钮后,8个LED灯按照流水灯或者跑马灯的规则循环显示。按下停止按钮后,显示停止。循环显示的LED灯可以是单个流水灯,也可以是多位跑马灯。具体为:要求在第0.5S亮一个灯,第1S亮第二个灯,第1.5S亮第三个灯,依此类推,4S后进行循环。
2023-11-03 16:40:52 7MB S7-1200/1500 电气控制
1
本例在LabVIEW的平台上,写了一个简单的二维流水灯,依次从左到右,从上到下亮起,还可以在本例的基础上修改,改变布尔灯亮灭的索引以及延时。非常适合初学者加深对LabVIEW的理解。
2022-05-18 22:59:21 12KB LabVIEW 流水灯 跑马灯
1
通过proteus和keil利用C语言实现跑马灯和流水灯程序。
2021-12-18 18:36:56 98KB 流水灯跑马灯
1
嵌入式 lpc2103 芯片 流水灯 简单实现
2021-12-17 13:52:02 69KB lpc2103 流水灯
1
单片机基础100例 包含安装教程,视频等
2021-04-12 15:01:44 258.02MB 单片机 流水灯 跑马灯点亮
用verilog实现的流水灯/跑马灯 四种状态循环实现18盏led灯的四种显示方式
2019-12-21 21:36:40 651B verilog 流水灯/跑马灯设计
1
三段式状态机 四种状态循环 实现18盏led灯四种不同显示方式
2019-12-21 21:36:40 3KB verilog 流水灯/跑马灯
1