用于Arduino PRO mini的最简单的125k RFID标签读取器。 使用arduino pro mini +电容器+线圈制作125k曼彻斯特编码的RFID标签读取器。 有关信息,请参见connection.png。 使用472(4.7nF)的电容器和345uH的线圈。 您可以通过缠绕3厘米直径的83发子弹来制作线圈。
2023-04-12 20:54:07 66KB
1
FPGA设计曼彻斯特编解码Verilog源代码 module md (rst,clk16x,mdi,rdn,dout,data_ready) ; input rst ; input clk16x ; input mdi ; input rdn ; output [7:0] dout ; output data_ready ; reg clk1x_enable ; reg mdi1 ; reg mdi2 ; reg [7:0] dout ; reg [3:0] no_bits_rcvd ; reg [3:0] clkdiv ; reg data_ready ; wire clk1x ; reg nrz ; wire sample ; reg [7:0] rsr ; // Generate 2 FF register to accept serial Manchester data in always @(posedge clk16x or posedge rst) begin if (rst) begin mdi1 <= 1'b0 ; mdi2 <= 1'b0 ; end el
基于Verilog的7960实现。主要实现曼彻斯特的编解码。采用的倍频采样的方法。
2023-03-15 22:08:57 686KB 7960 hdlc verilog倍频 倍频_verilog
随着MIL-STD-1553B总线在航空航天和军工领域的广泛应用,为了降低该总线的应用成本和提高应用开发的灵活性,设计并实现该通信协议的曼彻斯特编解码器。通过分析1553B协议和曼彻斯特II型码编解码原理,确定出编解码器的整体框架,利用ISE14.1开发环境和Verilog HDL硬件描述语言对其设计实现,通过ISE Simulator和XST进行时序仿真和综合优化,仿真结果验证了设计方案的逻辑功能,最后在Xilinx Spartan6系列XC6SLX16型号FPGA上进行了实现。在深入分析1553B协议的基础上,对编解码器的工作原理、工作过程、逻辑设计及仿真验证进行详细介绍。
1
Xilinx官方提供的慢切斯特编解码,用的是VHDL实现的,代码写的很好,攻城师们还可以多学习下他的代码风格。
2023-01-16 09:04:38 10KB 慢切斯特编解码 FPGA VHDL
1
使用不同线路代码的信号编码:曼彻斯特、单极和极地 RZ、单极 NRZ
2022-12-12 22:12:04 3KB matlab
1
第十九章 曼彻斯特编码发生器 用户手册 U18329CA2V0UD 523 图 19-1. 曼彻斯特编码发生器的框图 备注 BRG: 波特率发生器 fPRS: 外围硬件时钟频率 MC0 位: MCG 发送位计数指定寄存器 MC0CTL2~MC0CTL0: MCG 控制寄存器 2~0 MC0STR: MCG 状态寄存器 MC0TX: MCG 发送缓冲器寄存器 图 19-2. 波特率发生器的框图 备注 fPRS: 外围硬件时钟频率 MC0CTL2, MC0CTL1: MCG 控制寄存器 2, 1 MC0CKS2~MC0CKS0: MC0CTL1 寄存器的第 2~0 位 MC0BRS4~MC0BRS0: MC0CTL2 寄存器的第 4~0 位 (1) MCG 发送缓冲器寄存器(MC0TX) 此寄存器用于设置发送数据。MCG 控制寄存器 0 (MC0CTL0) 的第 7 位(MC0PWR)为 1,数据写入到 MC0TX 时发 送操作开始。 写入到 MC0TX的数据通过 8 位移位寄存器转换为串行数据,并且输出到 MCGO 引脚。 曼彻斯特编码或位序列数据可由 MCG 控制寄存器 0 (MC0CTL0)的第 1 位设置为输出码。 此寄存器可由 8 位存储器操作指令设置。 复位信号发生此寄存器置为 FFH。 内部总线 控制 3 位计 数器 选择器 8 位移位寄存器器 输出控 制 ~ 选择器 5位计数器~ 波特率
2022-10-27 13:41:26 13.02MB 78F0485 datasheet
1
文中利用可编程门阵列(FPGA)技术的可重构性与灵活性,设计实现曼彻斯特编解码器。通过FPGA分别实现曼彻斯特编解码器的信号产生,编码部分,解码部分3个模块。采用硬件描述语言VHDL完成了编解码器模块设计,使用Quartus II软件和Modelsim软件进行对编译码器进行相应功能仿真及时序仿真。结果表明,所设计的曼彻斯特编译码器的数据传输具有强抗干扰能力,高传输速率,该过程也具有较高的可靠性。
1
本工程为2011年电子设计大赛E题信号发生程序的一部分,实现了伪随机序列的发生及曼彻斯特编码,仿真环境为ISE10.1与ModelSim联合仿真,附有仿真结果图。
2022-08-30 16:20:12 450KB Verilog 伪随机序列 曼彻斯特编码
1
软件介绍: 曼彻斯特编码解码器包含转换模式:802.3曼彻斯特、标准曼彻斯特、差分、曼彻斯特编码是否进行每8位反序解码(特殊情况)解码操作按照1-2-3的顺序进行。
2022-08-27 15:06:39 6KB 其他资源
1