复数域神经网络;全面解析;适合新手和小白
2024-04-16 16:57:29 185KB
1
本论文主要介绍了FPGA及其浮点性能和设计流程,以及OpenCL的使用,高性能理想的算法是CHolesky分解,要活得的合理的结果总是要求浮点数值表示,FPGA更适合解决数据规模较小的问题,因此要优化实现复数浮点数的计算。
2024-03-01 09:48:41 298KB FPGA 复数浮点计算 FFT算法
1
应用双级矩阵变换器逆变级在dq坐标系下的合成矢量模型,对双级矩阵变换器提出了具有解耦功能的基于复数PI控制器的电压电流双闭环控制策略,其中针对电压外环和电流内环分别设计了复数PI控制器实现对输出电压和输出电流的控制,并用Simulink建模.仿真结果表明,基于复数PI控制器的电压电流双闭环控制方法不仅能够实现解耦控制,而且可有效地改善双级矩阵变换器的动静态性能及抗扰能力.
1
C语言实现的简单的复数计算器,供大家一起共同分享学习。
2024-01-14 14:58:38 1KB C语言实现 的简单的 复数计算器
1
C++程序设计 Complex复数类 直角坐标系 包括复数间的加、减、乘、除,还有两个复数间的夹角
1
在这个压缩文件中包含了一个FFT类以及一个复数类,实现了快速傅里叶变换及其反变换(FFT和IFFT)以及复数的运算。综合考虑各细节使碟形算法达到最高的效率。头文件中还包括了FFT类的使用方法。 此算法的准确性经过多人多次验证,已是毋庸置疑了。上传此文件是希望帮助正在学习的同志加速开发,以及希望高手们看完后不吝赐教。
2023-04-12 09:54:49 3.44MB 快速傅里叶变 FFT IFFT 复数
1
(1)要求利用面向对象的方法以及C++的编程思想来完成程序的设计。 (2)要求设计一个复数类。 (3)要实现复数的加、减、乘、除、输入、输出等。实现复数的混合运算表达式求值。实现复数和整数的运算。 (4)重载某些运算符。
2023-03-29 15:40:08 2KB 设计复数类
1
该文献详细阐述了复数一阶梯度、及二阶梯度的概念,
2023-03-20 19:05:30 226KB 复数 梯度
1
% erfz(z) 使用方法返回复数的误差函数% 特定于 Abrahamowitz 和 Stegun 7.1.29
2023-03-19 10:41:49 1KB matlab
1
利用mathematica计算复数的对数难以找到合适的帮助文档,有意思的是找了基本数学手册居然没有复数对数的普遍运算公式,真是奇怪。不得不自己动手弄出来,本人将复数的各种运算包括指数特别是对数的运算方法和技巧写在这里。
2023-03-12 17:29:23 232KB mathematica计算对数
1