基于Actel FPGA的双端口RAM设计--周立功单片机
2023-03-23 17:44:35 297KB actel fpga 双口ram vhdl
1
乒乓操作实测源码,颇具实用性。
2022-06-01 20:59:11 11.68MB 双口ram 乒乓操作
1
利用Verilog 实现双口RAM 的源代码。
2022-05-04 18:30:15 214KB Verilog 双口RAM 源码
1
为了在高速采集时不丢失数据,在数据采集系统和CPU之间设置一个数据暂存区。介绍双口RAM的存储原理及其在数字系统中的应用。采用FPGA技术构造双口RAM,实现高速信号采集系统中的海量数据存储和时钟匹配。功能仿真验证该设计的正确性,该设计能减小电路设计的复杂性,增强设计的灵活性和资源的可配置性能,降低设计成本,缩短开发周期。
1
IDT70V27L35双口RAM技术文档
2022-01-02 15:15:53 192KB 双口RAM
1
IDT双口RAM芯片指南,芯片详细资料包括接口、电平、封装等
2021-12-26 22:35:09 121KB IDT双口RAM
1
提出了一种基于双口RAM的ARM与DSP通信接口的设计方案。该接口以ARM为主处理器、DSP为协处理器,ARM通过在Linux系统上建立的DSP任务管理线程实现DSP任务的管理和调度工作,DSP完成ARM下发的数据计算和处理工作,两者通过双口RAM交换数据。实际应用表明,该接口充分利用了两个处理器的功能特性,数据传输速度快,适用于ARM与DSP间需要进行大量数据交换的场合。
2021-12-26 22:02:34 403KB 通信接口 数据交换 ARM DSP
1
双端口RAM在高速数据采集中的应用;在FPGA中构造存储器,包括详细的vhdl语言。
2021-12-26 20:24:47 157KB 双口ram fpga 高速数据采集 dsp
1
在FPGA设计过程中,使用好双口RAM,也是提高效率的一种方法。   将双口RAM分为简单双口RAM和真双口RAM。   简单双口RAM只有一个写端口,一个读端口。   真双口RAM分别有两个写端口和两个读端口。   无论是简单双口RAM还是真双口RAM,在没有读操作的情况下,应将读使能rden信号拉成低电平,节省功耗。   在两种情况下,都应当避免read-during-write,虽然可在软件中进行设置,但是,作为设计者,应当尽量避免此种情况。   对于真双口RAM,还应当避免两个读端口或者两个写端口同时操作同一个地址,R
2021-12-26 20:20:51 224KB RAM分为简单双口RAM和真双口RAM
1
开发流程http://www.cnblogs.com/noticeable/p/7246900.html
2021-12-18 09:16:14 6.23MB 友晶SOC
1