计算机微结构-流水线的数据通路和逻辑控制
2022-11-09 13:21:31 319KB 冯诺依曼结构 流水线 寄存器
1
自用博客图片示例
2022-07-02 18:00:34 182KB 自用
1
使用Verilog设计一个冯诺依曼结构的CPU,实现以下4条指令: (1)addi: (rd) <- (rs) + imm (2)lw: (rd) <- memory((rs) + imm) (3)sw: memory((rd) + imm) <- (rs) (4)add: (rd) <- (rd) +(rs) CPU包含以下模块: (1)存储器Memory (2)时序信号产生模块CLOCK (3)取指令模块IFU (4)通用寄存器GR (5)ALU (6)控制器controller (7)CPU 实验报告包含设计思路描述、程序代码说明、模拟图及分析。
2021-03-14 22:05:23 4.11MB CPU verilog 计算机组成原理
1
java学习路线图片资源
2021-01-28 04:03:02 30KB 冯诺依曼结构
1