EP4CE10E22C8+SDRAM CYCLONE4 FPGA最小系统板ALTIUM设计原理图+PCB+封装库文件,采用2层板设计,板子大小为93x58mm,双面布局布线..主要器件为FPGA EP4CE10E22C8(EQFP144_N封装),EPCS4,SDRAM HY57V561620D等。Altium Designer 设计的工程文件,包括完整的原理图、PCB文件,可以用Altium(AD)软件打开或修改,可作为你产品设计的参考。