含自制原理图库,器件封装库,开发板原理图,及pcb项目,以及tcl文件,且已完成实物焊接亲测可行
2021-10-21 16:07:44 2.18MB EP4CE10E22C8
1
cyclone_IV原理图,芯片使用EP4CE10E22C8原理图一份,仅供参考。
2021-08-24 16:49:21 84KB EP4CE10E22C8
1
EP4CE10E22C8 CYCLONE4 FPGA最小系统核心板开发板ALTIUM设计原理图PCB+AD集成封装库文件,2层板设计,大小为92x59mm,Altium Designer 设计的工程文件,包括完整的原理图及PCB文件,可以用Altium(AD)软件打开或修改,已制样板测试验证,可作为你产品设计的参考。集成封器件型号列表: Library Component Count : 32 Name Description ---------------------------------------------------------------------------------------------------- BUTTON C1 CC0805DRNP09BN9R CAP1 Cap Capacitor Cbst_1 C1005X5R1A104K Cff_1 GRM1555C1E5R1CA0 Cin_1 GRM188R60J475KE1 Cout_1 GRM188R60J106ME4 Creg_1 GRM155R61A105KE1 Css_1 GRM155R71E822KA0 EP4CE10E22C8 Cyclone IV Family FPGA, 2V Core, 91 I/O Pins, 2 PLLs, 144-Pin QFP, Speed Grade 8, Commercial Grade EPCS1 HY57V561620 Header 13X2 Header, 13-Pin, Dual row Header 2X2 Header, 2-Pin, Dual row Header 5X2 Header, 5-Pin, Dual row L1 NLCV32T-2R2M-PFR LED0 Typical INFRARED GaAs LED POWER_JP R1 CRCW0402402KFKED R2 CRCW0402100KFKED RES Ren_1 CRCW040210K0FKED Res1 Resistor Rfb1 CRCW0402150KFKED Rfb2 CRCW040275K0FKED Rfbb_1 CRCW040222K1FKED Rfbt_1 CRCW040273K2FKED Rpg_1 CRCW0402100KFKED U1 LM3674MF-ADJ/NOP oscillator 磁珠
EP4CE10E22C8+SDRAM CYCLONE4 FPGA最小系统板ALTIUM设计原理图+PCB+封装库文件,采用2层板设计,板子大小为93x58mm,双面布局布线..主要器件为FPGA EP4CE10E22C8(EQFP144_N封装),EPCS4,SDRAM HY57V561620D等。Altium Designer 设计的工程文件,包括完整的原理图、PCB文件,可以用Altium(AD)软件打开或修改,可作为你产品设计的参考。