关于FPGA在解析带有数据包协议的数据存储问题。FPGA先根据数据包协议接收数据并存储到RAM,在接收到完整一包数据后,将数据从RAM转移到FIFO中,后端的数据处理或者数据转发可以直接从FIFO读取。本代码模拟数据写入RAM,然后到FIFO过程。开发环境 Quartus18.1 ,开发语言 Verilog,仿真软件 Modelsim 6.6c
2021-07-28 11:06:04 6.33MB FPGA Verilog 串口数据存储 RAM
1
本程序是labview编写的,可实现与单片机串口通讯,并实现数据存储和回放等功能,已测试成功!
2019-12-21 21:15:17 31KB LabVIEM 串口 数据存储
1
VS2008开发的MFC小工具,接收串口数据并存储于TXT文档下.便于对串口数据进行分析
2019-12-21 20:18:01 7.45MB 串口数据存储
1
这是用VS2010+Mscomm控件编程实现了串口调试助手的代码,并能把下位机串口通过串口发送过来的数据存储在电脑F盘的data.txt文件中(具体位置和文件名可自己改),具体一步一步是怎么实现的,可以参考我的博客:https://blog.csdn.net/gjh13/article/details/80409538。从VS的下载安装开始讲起,非常详细的介绍,给大家排坑。有问题可CSDN私信我~
2019-12-21 19:17:52 22.01MB 串口 数据存储
1