xilinx 3s400开发板厂家光盘源码(按键防抖动).7z

上传者: xxzhaoming | 上传时间: 2021-01-28 00:46:44 | 文件大小: 58KB | 文件类型: 7Z
xilinx 3s400开发板厂家光盘源码(按键防抖动).7z

文件下载

资源详情

[{"title":"( 99 个子文件 58KB ) xilinx 3s400开发板厂家光盘源码(按键防抖动).7z","children":[{"title":"xilinx 3s400开发板厂家光盘源码(按键防抖动)","children":[{"title":"s6_unjounce","children":[{"title":"rtl","children":[{"title":"counter.v <span style='color:#111;'> 553B </span>","children":null,"spread":false},{"title":"seg.v <span style='color:#111;'> 899B </span>","children":null,"spread":false},{"title":"top.ucf <span style='color:#111;'> 678B </span>","children":null,"spread":false},{"title":"counter.v.bak <span style='color:#111;'> 482B </span>","children":null,"spread":false},{"title":"debounce.v <span style='color:#111;'> 1.44KB </span>","children":null,"spread":false}],"spread":true},{"title":"introduce.txt <span style='color:#111;'> 266B </span>","children":null,"spread":false},{"title":"download","children":[{"title":"top.bit <span style='color:#111;'> 207.48KB </span>","children":null,"spread":false},{"title":"top.mcs <span style='color:#111;'> 583.44KB </span>","children":null,"spread":false}],"spread":true},{"title":"project","children":[{"title":"xst","children":[{"title":"dump.xst","children":[{"title":"counter.prj","children":[{"title":"ngx","children":[{"title":"notopt","children":null,"spread":false},{"title":"opt","children":null,"spread":false}],"spread":true}],"spread":true},{"title":"top.prj","children":[{"title":"ngx","children":[{"title":"notopt","children":null,"spread":false},{"title":"opt","children":null,"spread":false}],"spread":true}],"spread":true}],"spread":true},{"title":"work","children":[{"title":"vlg1D","children":[{"title":"debounce.bin <span style='color:#111;'> 4.46KB </span>","children":null,"spread":false}],"spread":true},{"title":"vlg6F","children":[{"title":"top.bin <span style='color:#111;'> 2.87KB </span>","children":null,"spread":false}],"spread":true},{"title":"hdllib.ref <span style='color:#111;'> 204B </span>","children":null,"spread":false},{"title":"vlg1B","children":[{"title":"seg.bin <span style='color:#111;'> 3.64KB </span>","children":null,"spread":false}],"spread":true},{"title":"vlg10","children":[{"title":"counter.bin <span style='color:#111;'> 2.09KB </span>","children":null,"spread":false}],"spread":true}],"spread":true}],"spread":true},{"title":"top_map.ncd <span style='color:#111;'> 3.33KB </span>","children":null,"spread":false},{"title":"seg.sym <span style='color:#111;'> 1.04KB </span>","children":null,"spread":false},{"title":"top.xpi <span style='color:#111;'> 46B </span>","children":null,"spread":false},{"title":"seg.lso <span style='color:#111;'> 6B </span>","children":null,"spread":false},{"title":"counter.v <span style='color:#111;'> 553B </span>","children":null,"spread":false},{"title":"top.lso <span style='color:#111;'> 6B </span>","children":null,"spread":false},{"title":"seg_vhdl.prj <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"top.vf <span style='color:#111;'> 1.51KB </span>","children":null,"spread":false},{"title":"top.stx <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"top.sym <span style='color:#111;'> 980B </span>","children":null,"spread":false},{"title":"top.bld <span style='color:#111;'> 627B </span>","children":null,"spread":false},{"title":"project.ise <span style='color:#111;'> 4.47KB </span>","children":null,"spread":false},{"title":"top.routed_ncd_tracker <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"project.ise_ISE_Backup <span style='color:#111;'> 4.47KB </span>","children":null,"spread":false},{"title":"seg.cmd_log <span style='color:#111;'> 55B </span>","children":null,"spread":false},{"title":"top.twx <span style='color:#111;'> 14.02KB </span>","children":null,"spread":false},{"title":"top.mrp <span style='color:#111;'> 7.84KB </span>","children":null,"spread":false},{"title":"counter.syr <span style='color:#111;'> 11.14KB </span>","children":null,"spread":false},{"title":"seg.prj <span style='color:#111;'> 22B </span>","children":null,"spread":false},{"title":"top.syr <span style='color:#111;'> 12.21KB </span>","children":null,"spread":false},{"title":"top.lfp <span style='color:#111;'> 643B </span>","children":null,"spread":false},{"title":"seg.v <span style='color:#111;'> 899B </span>","children":null,"spread":false},{"title":"counter.cmd_log <span style='color:#111;'> 63B </span>","children":null,"spread":false},{"title":"counter.stx <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"seg_summary.html <span style='color:#111;'> 2.50KB </span>","children":null,"spread":false},{"title":"top_map.ngm <span style='color:#111;'> 12.54KB </span>","children":null,"spread":false},{"title":"top.ut <span style='color:#111;'> 470B </span>","children":null,"spread":false},{"title":"__projnav.log <span style='color:#111;'> 19.59KB </span>","children":null,"spread":false},{"title":"counter.prj <span style='color:#111;'> 26B </span>","children":null,"spread":false},{"title":"_xmsgs","children":null,"spread":false},{"title":"top.ucf <span style='color:#111;'> 678B </span>","children":null,"spread":false},{"title":"top.pad_txt <span style='color:#111;'> 40.81KB </span>","children":null,"spread":false},{"title":"debounce.sym <span style='color:#111;'> 789B </span>","children":null,"spread":false},{"title":"top.ngm <span style='color:#111;'> 12.54KB </span>","children":null,"spread":false},{"title":"counter_summary.html <span style='color:#111;'> 3.00KB </span>","children":null,"spread":false},{"title":"top.placed_ncd_tracker <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"top.prj <span style='color:#111;'> 98B </span>","children":null,"spread":false},{"title":"top.ngd <span style='color:#111;'> 6.49KB </span>","children":null,"spread":false},{"title":"_impact.cmd <span style='color:#111;'> 1.58KB </span>","children":null,"spread":false},{"title":"top_pad.csv <span style='color:#111;'> 9.29KB </span>","children":null,"spread":false},{"title":"project.dhp <span style='color:#111;'> 2.27KB </span>","children":null,"spread":false},{"title":"counter.ngr <span style='color:#111;'> 6.22KB </span>","children":null,"spread":false},{"title":"top.ncd <span style='color:#111;'> 4.25KB </span>","children":null,"spread":false},{"title":"top.twr <span style='color:#111;'> 1.84KB </span>","children":null,"spread":false},{"title":"_ngo","children":[{"title":"netlist.lst <span style='color:#111;'> 73B </span>","children":null,"spread":false}],"spread":false},{"title":"counter.lso <span style='color:#111;'> 6B </span>","children":null,"spread":false},{"title":"top.pad <span style='color:#111;'> 9.26KB </span>","children":null,"spread":false},{"title":"seg.spl <span style='color:#111;'> 122B </span>","children":null,"spread":false},{"title":"top.par <span style='color:#111;'> 3.08KB </span>","children":null,"spread":false},{"title":"automake.log <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"top_summary.html <span style='color:#111;'> 4.18KB </span>","children":null,"spread":false},{"title":"top.cmd_log <span style='color:#111;'> 631B </span>","children":null,"spread":false},{"title":"top.sch <span style='color:#111;'> 3.76KB </span>","children":null,"spread":false},{"title":"debounce.spl <span style='color:#111;'> 92B </span>","children":null,"spread":false},{"title":"top.nc1 <span style='color:#111;'> 37B </span>","children":null,"spread":false},{"title":"top.bgn <span style='color:#111;'> 5.12KB </span>","children":null,"spread":false},{"title":"top.bit <span style='color:#111;'> 207.48KB </span>","children":null,"spread":false},{"title":"top.drc <span style='color:#111;'> 166B </span>","children":null,"spread":false},{"title":"debounce.v <span style='color:#111;'> 1.44KB </span>","children":null,"spread":false},{"title":"_impact.log <span style='color:#111;'> 3.62KB </span>","children":null,"spread":false},{"title":"top_vhdl.prj <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"counter.sym <span style='color:#111;'> 839B </span>","children":null,"spread":false},{"title":"bitgen.ut <span style='color:#111;'> 470B </span>","children":null,"spread":false},{"title":"top_pad.txt <span style='color:#111;'> 40.81KB </span>","children":null,"spread":false},{"title":"counter.ngc <span style='color:#111;'> 2.79KB </span>","children":null,"spread":false},{"title":"counter.spl <span style='color:#111;'> 103B </span>","children":null,"spread":false},{"title":"counter_vhdl.prj <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"top.ngc <span style='color:#111;'> 3.42KB </span>","children":null,"spread":false},{"title":"seg.syr <span style='color:#111;'> 3.58KB </span>","children":null,"spread":false},{"title":"__projnav","children":[{"title":"bitgen.rsp <span style='color:#111;'> 470B </span>","children":null,"spread":false},{"title":"jhdparse.log <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"sumrpt_tcl.rsp <span style='color:#111;'> 25B </span>","children":null,"spread":false},{"title":"top_jhdparse_tcl.rsp <span style='color:#111;'> 27B </span>","children":null,"spread":false},{"title":"runXst_tcl.rsp <span style='color:#111;'> 64B </span>","children":null,"spread":false},{"title":"seg.xst <span style='color:#111;'> 1.02KB </span>","children":null,"spread":false},{"title":"ednTOngd_tcl.rsp <span style='color:#111;'> 61B </span>","children":null,"spread":false},{"title":"parentAssignPackagePinsApp_tcl.rsp <span style='color:#111;'> 25B </span>","children":null,"spread":false},{"title":"counter.xst <span style='color:#111;'> 1.03KB </span>","children":null,"spread":false},{"title":"nc1TOncd_tcl.rsp <span style='color:#111;'> 12B </span>","children":null,"spread":false},{"title":"project.gfl <span style='color:#111;'> 2.84KB </span>","children":null,"spread":false},{"title":"top.xst <span style='color:#111;'> 1.02KB </span>","children":null,"spread":false},{"title":"top_ncdTOut_tcl.rsp <span style='color:#111;'> 19B </span>","children":null,"spread":false},{"title":"project_flowplus.gfl <span style='color:#111;'> 517B </span>","children":null,"spread":false}],"spread":false},{"title":"top.ucf.untf <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"top.pcf <span style='color:#111;'> 982B </span>","children":null,"spread":false},{"title":"top.v <span style='color:#111;'> 1.51KB </span>","children":null,"spread":false},{"title":"top.ngr <span style='color:#111;'> 9.04KB </span>","children":null,"spread":false}],"spread":false}],"spread":true}],"spread":true}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明