vim verilog自动化工具

上传者: times_poem | 上传时间: 2019-12-21 21:15:48 | 文件大小: 63KB | 文件类型: gz
eetop网友提供的vim verilog设计自动化工具,使用了,非常不错。 将压缩包解压后,将其中.vim/plugin/automatic.vim 拷贝到你home目录下相同目录中(.vim/plugin/),同时也拷贝.vim/after将home目录下.vim/, after目录下是一些图标,默认是linux平台下的图标。

文件下载

资源详情

[{"title":"( 52 个子文件 63KB ) vim verilog自动化工具","children":[{"title":"automatic_vim_2017_09_18","children":[{"title":".vimrc <span style='color:#111;'> 1.19KB </span>","children":null,"spread":false},{"title":".vim","children":[{"title":"ftplugin","children":[{"title":"verilog_systemverilog.vim <span style='color:#111;'> 346B </span>","children":null,"spread":false}],"spread":true},{"title":"syntax","children":[{"title":"verilog_systemverilog.vim <span style='color:#111;'> 7.08KB </span>","children":null,"spread":false}],"spread":true},{"title":".netrwhist <span style='color:#111;'> 126B </span>","children":null,"spread":false},{"title":"doc","children":[{"title":"matchit.txt <span style='color:#111;'> 18.85KB </span>","children":null,"spread":false},{"title":"tags <span style='color:#111;'> 4.81KB </span>","children":null,"spread":false}],"spread":true},{"title":"plugin","children":[{"title":"matchit.vim <span style='color:#111;'> 29.99KB </span>","children":null,"spread":false},{"title":"zoom.vim <span style='color:#111;'> 2.35KB </span>","children":null,"spread":false},{"title":"automatic.vim <span style='color:#111;'> 152.24KB </span>","children":null,"spread":false}],"spread":true},{"title":"after","children":[{"title":"AddNeg.bmp <span style='color:#111;'> 1.04KB </span>","children":null,"spread":false},{"title":"aln.xpm <span style='color:#111;'> 569B </span>","children":null,"spread":false},{"title":"ShowCall.xpm <span style='color:#111;'> 545B </span>","children":null,"spread":false},{"title":"AddBus.bmp <span style='color:#111;'> 1.04KB </span>","children":null,"spread":false},{"title":"AddSig.bmp <span style='color:#111;'> 1.04KB </span>","children":null,"spread":false},{"title":"alp.png <span style='color:#111;'> 243B </span>","children":null,"spread":false},{"title":"alp.bmp <span style='color:#111;'> 1.04KB </span>","children":null,"spread":false},{"title":"AddClk.bmp <span style='color:#111;'> 1.04KB </span>","children":null,"spread":false},{"title":"alpn.xpm <span style='color:#111;'> 570B </span>","children":null,"spread":false},{"title":"AddClk.xpm <span style='color:#111;'> 540B </span>","children":null,"spread":false},{"title":"AddSig.xpm <span style='color:#111;'> 540B </span>","children":null,"spread":false},{"title":"alnn.xpm <span style='color:#111;'> 570B </span>","children":null,"spread":false},{"title":"AddBus.xpm <span style='color:#111;'> 540B </span>","children":null,"spread":false},{"title":"AddNeg.xpm <span style='color:#111;'> 596B </span>","children":null,"spread":false},{"title":"AddNeg.png <span style='color:#111;'> 242B </span>","children":null,"spread":false},{"title":"AddBlk.png <span style='color:#111;'> 190B </span>","children":null,"spread":false},{"title":"AddBus.png <span style='color:#111;'> 229B </span>","children":null,"spread":false},{"title":"al.bmp <span style='color:#111;'> 1.04KB </span>","children":null,"spread":false},{"title":"AddBlk.bmp <span style='color:#111;'> 1.04KB </span>","children":null,"spread":false},{"title":"ShowCall.png <span style='color:#111;'> 233B </span>","children":null,"spread":false},{"title":"AddClk.png <span style='color:#111;'> 227B </span>","children":null,"spread":false},{"title":"aln.bmp <span style='color:#111;'> 1.04KB </span>","children":null,"spread":false},{"title":"AddBlk.xpm <span style='color:#111;'> 524B </span>","children":null,"spread":false},{"title":"ShowCall.bmp <span style='color:#111;'> 1.04KB </span>","children":null,"spread":false},{"title":"al.xpm <span style='color:#111;'> 568B </span>","children":null,"spread":false},{"title":"alpn.png <span style='color:#111;'> 250B </span>","children":null,"spread":false},{"title":"aln.png <span style='color:#111;'> 249B </span>","children":null,"spread":false},{"title":"ShowDef.bmp <span style='color:#111;'> 1.04KB </span>","children":null,"spread":false},{"title":"al.png <span style='color:#111;'> 250B </span>","children":null,"spread":false},{"title":"alpn.bmp <span style='color:#111;'> 1.04KB </span>","children":null,"spread":false},{"title":"ShowDef.xpm <span style='color:#111;'> 547B </span>","children":null,"spread":false},{"title":"alnn.bmp <span style='color:#111;'> 1.04KB </span>","children":null,"spread":false},{"title":"AddSig.png <span style='color:#111;'> 229B </span>","children":null,"spread":false},{"title":"alnn.png <span style='color:#111;'> 263B </span>","children":null,"spread":false},{"title":"alp.xpm <span style='color:#111;'> 569B </span>","children":null,"spread":false},{"title":"ShowDef.png <span style='color:#111;'> 226B </span>","children":null,"spread":false}],"spread":false},{"title":"nerdtree_plugin","children":[{"title":"fs_menu.vim <span style='color:#111;'> 7.93KB </span>","children":null,"spread":false},{"title":"exec_menuitem.vim <span style='color:#111;'> 1.58KB </span>","children":null,"spread":false}],"spread":true},{"title":"ftdetect","children":[{"title":"verilog_systemverilog.vim <span style='color:#111;'> 272B </span>","children":null,"spread":false}],"spread":true},{"title":"indent","children":[{"title":"verilog_systemverilog.vim <span style='color:#111;'> 9.03KB </span>","children":null,"spread":false}],"spread":true}],"spread":true},{"title":"automatic.vim.history.log <span style='color:#111;'> 15.55KB </span>","children":null,"spread":false},{"title":"ctags_gen <span style='color:#111;'> 248B </span>","children":null,"spread":false},{"title":"README <span style='color:#111;'> 6.32KB </span>","children":null,"spread":false}],"spread":true}],"spread":true}]

评论信息

  • 宁丁James :
    这个东西挺好
    2020-10-14
  • KSCNN :
    下载下来学习下!
    2019-09-10

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明