美信OneWire总线IP Core(带验证激励)

上传者: myy1966 | 上传时间: 2020-01-03 11:27:21 | 文件大小: 55KB | 文件类型: rar
美信OneWire总线IP Core(带验证激励)

文件下载

资源详情

[{"title":"( 59 个子文件 55KB ) 美信OneWire总线IP Core(带验证激励)","children":[{"title":"design","children":[{"title":"vhdl_src","children":[{"title":"ds1wm","children":[{"title":"one_wire_io.vhd <span style='color:#111;'> 2.63KB </span>","children":null,"spread":false},{"title":"ds1wm.vhd <span style='color:#111;'> 13.84KB </span>","children":null,"spread":false},{"title":"onewiremaster.vhd <span style='color:#111;'> 57.15KB </span>","children":null,"spread":false},{"title":"one_wire_interface.vhd <span style='color:#111;'> 14.88KB </span>","children":null,"spread":false},{"title":"clk_prescaler.vhd <span style='color:#111;'> 7.86KB </span>","children":null,"spread":false}],"spread":true}],"spread":true},{"title":"verilog_src","children":[{"title":"ds1wm","children":[{"title":"ds1wm.v <span style='color:#111;'> 3.75KB </span>","children":null,"spread":false},{"title":"one_wire_interface.v <span style='color:#111;'> 13.25KB </span>","children":null,"spread":false},{"title":"clk_prescaler.v <span style='color:#111;'> 5.37KB </span>","children":null,"spread":false},{"title":"one_wire_io.v <span style='color:#111;'> 2.32KB </span>","children":null,"spread":false},{"title":"onewiremaster.v <span style='color:#111;'> 48.26KB </span>","children":null,"spread":false}],"spread":true}],"spread":true}],"spread":true},{"title":"README <span style='color:#111;'> 998B </span>","children":null,"spread":false},{"title":"verification","children":[{"title":"verilog_src","children":[{"title":"tests","children":[{"title":"single_search_rom","children":[{"title":"stimulus.inc <span style='color:#111;'> 983B </span>","children":null,"spread":false},{"title":"README <span style='color:#111;'> 597B </span>","children":null,"spread":false},{"title":"nc_rundir","children":[{"title":"design_vhdl_src_files.lst <span style='color:#111;'> 270B </span>","children":null,"spread":false},{"title":"tb_src_files.lst <span style='color:#111;'> 306B </span>","children":null,"spread":false},{"title":"ncsim.key <span style='color:#111;'> 5B </span>","children":null,"spread":false},{"title":"hdl.var <span style='color:#111;'> 38B </span>","children":null,"spread":false},{"title":"run.csh <span style='color:#111;'> 623B </span>","children":null,"spread":false},{"title":"probe.tcl <span style='color:#111;'> 90B </span>","children":null,"spread":false},{"title":"design_verilog_src_files.lst <span style='color:#111;'> 275B </span>","children":null,"spread":false},{"title":"cds.lib <span style='color:#111;'> 94B </span>","children":null,"spread":false}],"spread":true}],"spread":true},{"title":"cmd_recognition","children":[{"title":"stimulus.inc <span style='color:#111;'> 10.88KB </span>","children":null,"spread":false},{"title":"README <span style='color:#111;'> 613B </span>","children":null,"spread":false},{"title":"nc_rundir","children":[{"title":"design_vhdl_src_files.lst <span style='color:#111;'> 270B </span>","children":null,"spread":false},{"title":"tb_src_files.lst <span style='color:#111;'> 306B </span>","children":null,"spread":false},{"title":"ncsim.key <span style='color:#111;'> 5B </span>","children":null,"spread":false},{"title":"hdl.var <span style='color:#111;'> 38B </span>","children":null,"spread":false},{"title":"run.csh <span style='color:#111;'> 623B </span>","children":null,"spread":false},{"title":"probe.tcl <span style='color:#111;'> 90B </span>","children":null,"spread":false},{"title":"design_verilog_src_files.lst <span style='color:#111;'> 275B </span>","children":null,"spread":false},{"title":"cds.lib <span style='color:#111;'> 94B </span>","children":null,"spread":false}],"spread":true}],"spread":true},{"title":"scratchpad_integrity","children":[{"title":"stimulus.inc <span style='color:#111;'> 1.62KB </span>","children":null,"spread":false},{"title":"README <span style='color:#111;'> 621B </span>","children":null,"spread":false},{"title":"nc_rundir","children":[{"title":"design_vhdl_src_files.lst <span style='color:#111;'> 270B </span>","children":null,"spread":false},{"title":"tb_src_files.lst <span style='color:#111;'> 306B </span>","children":null,"spread":false},{"title":"ncsim.key <span style='color:#111;'> 5B </span>","children":null,"spread":false},{"title":"hdl.var <span style='color:#111;'> 38B </span>","children":null,"spread":false},{"title":"run.csh <span style='color:#111;'> 623B </span>","children":null,"spread":false},{"title":"probe.tcl <span style='color:#111;'> 90B </span>","children":null,"spread":false},{"title":"design_verilog_src_files.lst <span style='color:#111;'> 275B </span>","children":null,"spread":false},{"title":"cds.lib <span style='color:#111;'> 94B </span>","children":null,"spread":false}],"spread":true}],"spread":true},{"title":"multi_ow_network","children":[{"title":"stimulus.inc <span style='color:#111;'> 1.39KB </span>","children":null,"spread":false},{"title":"README <span style='color:#111;'> 654B </span>","children":null,"spread":false},{"title":"nc_rundir","children":[{"title":"design_vhdl_src_files.lst <span style='color:#111;'> 270B </span>","children":null,"spread":false},{"title":"tb_src_files.lst <span style='color:#111;'> 306B </span>","children":null,"spread":false},{"title":"ncsim.key <span style='color:#111;'> 5B </span>","children":null,"spread":false},{"title":"hdl.var <span style='color:#111;'> 38B </span>","children":null,"spread":false},{"title":"run.csh <span style='color:#111;'> 641B </span>","children":null,"spread":false},{"title":"probe.tcl <span style='color:#111;'> 90B </span>","children":null,"spread":false},{"title":"design_verilog_src_files.lst <span style='color:#111;'> 275B </span>","children":null,"spread":false},{"title":"cds.lib <span style='color:#111;'> 94B </span>","children":null,"spread":false}],"spread":true}],"spread":true}],"spread":true},{"title":"testbench","children":[{"title":"tb_ds1wm","children":[{"title":"tc_ds1wm.v <span style='color:#111;'> 2.25KB </span>","children":null,"spread":false},{"title":"tb_ds1wm.v <span style='color:#111;'> 844B </span>","children":null,"spread":false}],"spread":true},{"title":"scoreboard","children":[{"title":"scoreboard.v <span style='color:#111;'> 6.21KB </span>","children":null,"spread":false}],"spread":true},{"title":"clkgen","children":[{"title":"clkgen.v <span style='color:#111;'> 3.19KB </span>","children":null,"spread":false}],"spread":true},{"title":"ow_slave","children":[{"title":"iox.v <span style='color:#111;'> 11.76KB </span>","children":null,"spread":false},{"title":"cmd_ctrl.v <span style='color:#111;'> 7.65KB </span>","children":null,"spread":false},{"title":"ow_slave.v <span style='color:#111;'> 1.67KB </span>","children":null,"spread":false}],"spread":true},{"title":"cpu_bfm","children":[{"title":"cpu_bfm.v <span style='color:#111;'> 20.45KB </span>","children":null,"spread":false}],"spread":true}],"spread":true}],"spread":true}],"spread":true}],"spread":true}]

评论信息

  • yuanwenyang :
    不错,作为参考还是可以的
    2015-05-29

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明