利用verilog来实现四位数码管0到9的循环计数

上传者: ctk1314520 | 上传时间: 2019-12-21 19:36:42 | 文件大小: 375KB | 文件类型: rar
利用verilog来实现四位数码管0到9的循环计数

文件下载

资源详情

[{"title":"( 68 个子文件 375KB ) 利用verilog来实现四位数码管0到9的循环计数","children":[{"title":"SegStaticDisp(3)","children":[{"title":"display.v <span style='color:#111;'> 2.74KB </span>","children":null,"spread":false},{"title":"SegStaticDisp.fit.rpt <span style='color:#111;'> 60.17KB </span>","children":null,"spread":false},{"title":"SegStaticDisp.fit.smsg <span style='color:#111;'> 334B </span>","children":null,"spread":false},{"title":"SegStaticDisp.map.smsg <span style='color:#111;'> 135B </span>","children":null,"spread":false},{"title":"SegStaticDisp.pin <span style='color:#111;'> 14.45KB </span>","children":null,"spread":false},{"title":"SegStaticDisp.qws <span style='color:#111;'> 537B </span>","children":null,"spread":false},{"title":"db","children":[{"title":"SegStaticDisp.eco.cdb <span style='color:#111;'> 161B </span>","children":null,"spread":false},{"title":"SegStaticDisp.pre_map.cdb <span style='color:#111;'> 18.83KB </span>","children":null,"spread":false},{"title":"SegStaticDisp.rtlv_sg.cdb <span style='color:#111;'> 18.72KB </span>","children":null,"spread":false},{"title":"SegStaticDisp.asm.qmsg <span style='color:#111;'> 2.00KB </span>","children":null,"spread":false},{"title":"SegStaticDisp.rtlv_sg_swap.cdb <span style='color:#111;'> 178B </span>","children":null,"spread":false},{"title":"SegStaticDisp.map.hdb <span style='color:#111;'> 10.55KB </span>","children":null,"spread":false},{"title":"prev_cmp_SegStaticDisp.tan.qmsg <span style='color:#111;'> 24.49KB </span>","children":null,"spread":false},{"title":"SegStaticDisp.pss <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"SegStaticDisp.map.qmsg <span style='color:#111;'> 17.02KB </span>","children":null,"spread":false},{"title":"SegStaticDisp.sld_design_entry_dsc.sci <span style='color:#111;'> 154B </span>","children":null,"spread":false},{"title":"SegStaticDisp.(0).cnf.hdb <span style='color:#111;'> 2.90KB </span>","children":null,"spread":false},{"title":"SegStaticDisp.cbx.xml <span style='color:#111;'> 95B </span>","children":null,"spread":false},{"title":"SegStaticDisp.dbp <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"prev_cmp_SegStaticDisp.fit.qmsg <span style='color:#111;'> 19.96KB </span>","children":null,"spread":false},{"title":"SegStaticDisp.sgdiff.hdb <span style='color:#111;'> 10.93KB </span>","children":null,"spread":false},{"title":"SegStaticDisp.hier_info <span style='color:#111;'> 822B </span>","children":null,"spread":false},{"title":"SegStaticDisp.cmp.rdb <span style='color:#111;'> 16.89KB </span>","children":null,"spread":false},{"title":"SegStaticDisp.signalprobe.cdb <span style='color:#111;'> 345B </span>","children":null,"spread":false},{"title":"SegStaticDisp.cmp.hdb <span style='color:#111;'> 11.48KB </span>","children":null,"spread":false},{"title":"prev_cmp_SegStaticDisp.map.qmsg <span style='color:#111;'> 17.02KB </span>","children":null,"spread":false},{"title":"SegStaticDisp.cmp0.ddb <span style='color:#111;'> 64.00KB </span>","children":null,"spread":false},{"title":"SegStaticDisp.map.cdb <span style='color:#111;'> 12.63KB </span>","children":null,"spread":false},{"title":"SegStaticDisp.rtlv.hdb <span style='color:#111;'> 9.27KB </span>","children":null,"spread":false},{"title":"SegStaticDisp.asm_labs.ddb <span style='color:#111;'> 15.72KB </span>","children":null,"spread":false},{"title":"SegStaticDisp.syn_hier_info <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"SegStaticDisp.db_info <span style='color:#111;'> 137B </span>","children":null,"spread":false},{"title":"SegStaticDisp.hif <span style='color:#111;'> 1.29KB </span>","children":null,"spread":false},{"title":"SegStaticDisp.pre_map.hdb <span style='color:#111;'> 9.32KB </span>","children":null,"spread":false},{"title":"logic_util_heursitic.dat <span style='color:#111;'> 3.70KB </span>","children":null,"spread":false},{"title":"SegStaticDisp.smp_dump.txt <span style='color:#111;'> 418B </span>","children":null,"spread":false},{"title":"SegStaticDisp.sld_design_entry.sci <span style='color:#111;'> 154B </span>","children":null,"spread":false},{"title":"SegStaticDisp.tan.qmsg <span style='color:#111;'> 24.49KB </span>","children":null,"spread":false},{"title":"prev_cmp_SegStaticDisp.asm.qmsg <span style='color:#111;'> 2.00KB </span>","children":null,"spread":false},{"title":"SegStaticDisp.(0).cnf.cdb <span style='color:#111;'> 16.63KB </span>","children":null,"spread":false},{"title":"SegStaticDisp.fit.qmsg <span style='color:#111;'> 19.96KB </span>","children":null,"spread":false},{"title":"SegStaticDisp.cmp.logdb <span style='color:#111;'> 4B </span>","children":null,"spread":false},{"title":"SegStaticDisp.sgdiff.cdb <span style='color:#111;'> 10.81KB </span>","children":null,"spread":false},{"title":"SegStaticDisp.cmp.cdb <span style='color:#111;'> 42.02KB </span>","children":null,"spread":false},{"title":"SegStaticDisp.map.logdb <span style='color:#111;'> 4B </span>","children":null,"spread":false},{"title":"SegStaticDisp.cmp.tdb <span style='color:#111;'> 42.33KB </span>","children":null,"spread":false},{"title":"SegStaticDisp.psp <span style='color:#111;'> 0B </span>","children":null,"spread":false}],"spread":false},{"title":"incremental_db","children":[{"title":"compiled_partitions","children":[{"title":"SegStaticDisp.root_partition.map.kpt <span style='color:#111;'> 1.22KB </span>","children":null,"spread":false}],"spread":true},{"title":"README <span style='color:#111;'> 653B </span>","children":null,"spread":false}],"spread":true},{"title":"SegStaticDisp.fit.summary <span style='color:#111;'> 376B </span>","children":null,"spread":false},{"title":"SegStaticDisp.asm.rpt <span style='color:#111;'> 6.60KB </span>","children":null,"spread":false},{"title":"SegStaticDisp.v.bak <span style='color:#111;'> 4.51KB </span>","children":null,"spread":false},{"title":"SegStaticDisp.qsf <span style='color:#111;'> 2.19KB </span>","children":null,"spread":false},{"title":"SegStaticDisp_assignment_defaults.qdf <span style='color:#111;'> 46.61KB </span>","children":null,"spread":false},{"title":"SegStaticDisp.flow.rpt <span style='color:#111;'> 3.92KB </span>","children":null,"spread":false},{"title":"SegStaticDisp.bsf <span style='color:#111;'> 2.70KB </span>","children":null,"spread":false},{"title":"prev_cmp_SegStaticDisp.qmsg <span style='color:#111;'> 2.70KB </span>","children":null,"spread":false},{"title":"SegStaticDisp.cdf <span style='color:#111;'> 397B </span>","children":null,"spread":false},{"title":"SegStaticDisp.tan.rpt <span style='color:#111;'> 61.60KB </span>","children":null,"spread":false},{"title":"SegStaticDisp.done <span style='color:#111;'> 26B </span>","children":null,"spread":false},{"title":"SegStaticDisp.map.rpt <span style='color:#111;'> 25.46KB </span>","children":null,"spread":false},{"title":"SegStaticDisp.map.summary <span style='color:#111;'> 303B </span>","children":null,"spread":false},{"title":"SegStaticDisp.dpf <span style='color:#111;'> 239B </span>","children":null,"spread":false},{"title":"SegStaticDisp.tan.summary <span style='color:#111;'> 976B </span>","children":null,"spread":false},{"title":"display.v.bak <span style='color:#111;'> 2.74KB </span>","children":null,"spread":false},{"title":"SegStaticDisp.v <span style='color:#111;'> 4.51KB </span>","children":null,"spread":false},{"title":"SegStaticDisp.qpf <span style='color:#111;'> 914B </span>","children":null,"spread":false},{"title":"SegStaticDisp.pof <span style='color:#111;'> 14.67KB </span>","children":null,"spread":false}],"spread":false}],"spread":true}]

评论信息

  • u010810574 :
    这个资源不错,值得下载,做的不错,学习Verilog语言的可以学习一下,可综合
    2014-05-07
  • zxt20102 :
    还不错,通过程序了解了动态扫描思路
    2011-11-09
  • xylsulala :
    程序还算不错,用简练的语言即实现了标题所描述的功能。
    2011-10-09

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明