数字逻辑(第六版)》白中英等编著 教材前三章答案 docx格式 大小:1.44MB 第一章 开关理论基础 第二章 组合逻辑 第三章 时序逻辑
2024-03-23 16:21:49 1.44MB docx 教材答案
1
一、(1)实现计数式数字频率计和测周式数字频率计的功能; (2)静态 6 位 LED 数码管显示 8 位数字,分两屏显示,由按键SHIFT切换; (3) 测量频率:1Hz~99.999999MHz。 二、(1)实现交通灯信号灯自动控制循环功能; (2)静态 6 位七段 LED 显示器的最左 2 位和最右 2 位分别显示主道和次道当前状态所剩余时间; (3)用 LEDR0-LEDR9 的不同点亮组合表示道路四种通行状态; (4) 黄灯亮时,发出声响,进行报警提示。用 500Hz 的音频信号来驱动耳机,并采用间歇方式发出报警音,即以 1 秒为周期,前 0.5 秒发音、后 0.5 秒静音,最后一声报警音则输出 1kHz 音频信号。
2024-03-04 17:03:28 23.66MB 数字逻辑电路设计
1
数字逻辑电路分析与设计.pdf英文影印版
2024-01-20 23:51:21 4.81MB
1
广工数字逻辑与EDA设计组合逻辑电路实验报告
2024-01-04 17:15:39 21.16MB
1
数字逻辑与数字系统答案,主编:王永军,李景华。电子工业出版社出版。
2023-12-14 10:47:02 4.59MB 数字逻辑 数字系统
1
数字逻辑课程设计之四路抢答器.DSN
2023-12-10 19:30:55 234KB
1
IP核芯志 数字逻辑设计思想,值得学习的FPGA资料。很好的一本书
2023-11-27 22:56:41 48.88MB
1
1.时钟输入采用实验箱的1Hz信号(在电源开关下面),分别测试两片74x161的逻辑功能。由于数码管不能显示A-F,所以用LED灯显示计数器的输出状态。 2.将两片74x161进行级联,实现模256计数器,用LED灯显示计数器的输出状态。 3.用两片74x161分别实现模6和模10计数器,用数码管显示计数器的输出状态。再将两片74x161进行级联,实现模60计数器,用数码管显示计数器的输出状态。 4.拓展题:任选一个设计下列十进制计数器:模24、模28、模29、模30、模31、模100。
2023-11-23 15:24:17 1.5MB verilog fpga 数字逻辑
1
1.3-8译码器的设计和实现。 2.4位并行进位加法器的设计和实现。 3.两输入4位多路选择器的设计和实现。 4.拓展:3输入多数表决器设计和实现。 实验要求如下: 1.采用Verilog语言设计,使用门级方式进行描述。 2.编写仿真测试代码。 3.编写约束文件,使输入、输出信号与开发板的引脚对应。 4.下载到FPGA开发板,拨动输入开关,观察Led灯的显示是否符合真值表。
2023-11-23 15:23:33 1.85MB Verilog FPGA 数字逻辑
1
1.逻辑输入采用实验箱的K1-K11,逻辑输出接L1-L10。测试实验箱上的HD74LS04P(非门)、SN74LS32N(或门)、SN74LS00N(与非门)、SN74HC86N(异或门)、SN74HC153(数据选择器、多路复用器)的逻辑功能。 2.采用小规模逻辑器件设计一位数据比较器:设一位数据比较器的输入为A、B,比较A>B,A=B,A
2023-11-23 15:15:10 1.84MB 数字逻辑 Verilog
1