Xilinx SPARTAN-3E入门开发板实例.7z

上传者: xxzhaoming | 上传时间: 2021-02-03 14:00:53 | 文件大小: 343KB | 文件类型: 7Z
Xilinx SPARTAN-3E入门开发板实例.7z

文件下载

资源详情

[{"title":"( 63 个子文件 343KB ) Xilinx SPARTAN-3E入门开发板实例.7z","children":[{"title":"Xilinx SPARTAN-3E入门开发板实例","children":[{"title":"ledleft","children":[{"title":"left_right_leds_map.ncd <span style='color:#111;'> 6.87KB </span>","children":null,"spread":false},{"title":"__projnav","children":[{"title":"ednTOngd_tcl.rsp <span style='color:#111;'> 73B </span>","children":null,"spread":false},{"title":"nc1TOncd_tcl.rsp <span style='color:#111;'> 12B </span>","children":null,"spread":false},{"title":"left_right_leds_ncdTOut_tcl.rsp <span style='color:#111;'> 19B </span>","children":null,"spread":false},{"title":"ledleft_flowplus.gfl <span style='color:#111;'> 274B </span>","children":null,"spread":false},{"title":"bitgen.rsp <span style='color:#111;'> 465B </span>","children":null,"spread":false},{"title":"runXst_tcl.rsp <span style='color:#111;'> 43B </span>","children":null,"spread":false},{"title":"ledleft.gfl <span style='color:#111;'> 5.86KB </span>","children":null,"spread":false},{"title":"sumrpt_tcl.rsp <span style='color:#111;'> 37B </span>","children":null,"spread":false},{"title":"left_right_leds.xst <span style='color:#111;'> 1.08KB </span>","children":null,"spread":false}],"spread":true},{"title":"left_right_leds.stx <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"Project.dhp <span style='color:#111;'> 1.53KB </span>","children":null,"spread":false},{"title":"left_right_leds.pad_txt <span style='color:#111;'> 64.38KB </span>","children":null,"spread":false},{"title":"__projnav.log <span style='color:#111;'> 25.51KB </span>","children":null,"spread":false},{"title":"left_right_leds_last_par.ncd <span style='color:#111;'> 10.42KB </span>","children":null,"spread":false},{"title":"compxlib.cfg <span style='color:#111;'> 5.41KB </span>","children":null,"spread":false},{"title":"left_right_leds.par <span style='color:#111;'> 4.70KB </span>","children":null,"spread":false},{"title":"left_right_leds.ucf <span style='color:#111;'> 1.35KB </span>","children":null,"spread":false},{"title":"left_right_leds.ucf.untf <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"left_right_leds.cmd_log <span style='color:#111;'> 1.34KB </span>","children":null,"spread":false},{"title":"left_right_leds.ngm <span style='color:#111;'> 32.19KB </span>","children":null,"spread":false},{"title":"ledleft.compxlib_log <span style='color:#111;'> 1.31KB </span>","children":null,"spread":false},{"title":"left_right_leds_pad.csv <span style='color:#111;'> 13.07KB </span>","children":null,"spread":false},{"title":"ledleft.ise_ISE_Backup <span style='color:#111;'> 4.57KB </span>","children":null,"spread":false},{"title":"ledleft.ipf <span style='color:#111;'> 493B </span>","children":null,"spread":false},{"title":"ledleft.ise <span style='color:#111;'> 4.57KB </span>","children":null,"spread":false},{"title":"left_right_leds.twx <span style='color:#111;'> 13.51KB </span>","children":null,"spread":false},{"title":"left_right_leds.twr <span style='color:#111;'> 2.15KB </span>","children":null,"spread":false},{"title":"left_right_leds.ncd <span style='color:#111;'> 10.42KB </span>","children":null,"spread":false},{"title":"left_right_leds.routed_ncd_tracker <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"pepExtractor.prj <span style='color:#111;'> 54B </span>","children":null,"spread":false},{"title":"left_right_leds.xpi <span style='color:#111;'> 46B </span>","children":null,"spread":false},{"title":"left_right_leds.syr <span style='color:#111;'> 12.35KB </span>","children":null,"spread":false},{"title":"left_right_leds.lso <span style='color:#111;'> 6B </span>","children":null,"spread":false},{"title":"_xmsgs","children":null,"spread":false},{"title":"left_right_leds.pad <span style='color:#111;'> 13.04KB </span>","children":null,"spread":false},{"title":"left_right_leds.bld <span style='color:#111;'> 683B </span>","children":null,"spread":false},{"title":"left_right_leds.ngr <span style='color:#111;'> 12.99KB </span>","children":null,"spread":false},{"title":"left_right_leds.prj <span style='color:#111;'> 33B </span>","children":null,"spread":false},{"title":"left_right_leds.mrp <span style='color:#111;'> 7.88KB </span>","children":null,"spread":false},{"title":"automake.log <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"compxlib.log <span style='color:#111;'> 1.31KB </span>","children":null,"spread":false},{"title":"left_right_leds.ut <span style='color:#111;'> 465B </span>","children":null,"spread":false},{"title":"left_right_leds_summary.html <span style='color:#111;'> 4.91KB </span>","children":null,"spread":false},{"title":"left_right_leds.bgn <span style='color:#111;'> 4.47KB </span>","children":null,"spread":false},{"title":"left_right_leds.ngc <span style='color:#111;'> 8.53KB </span>","children":null,"spread":false},{"title":"xst","children":[{"title":"dump.xst","children":[{"title":"left_right_leds.prj","children":[{"title":"ngx","children":[{"title":"notopt","children":null,"spread":false},{"title":"opt","children":null,"spread":false}],"spread":false}],"spread":false}],"spread":false},{"title":"work","children":[{"title":"hdpdeps.ref <span style='color:#111;'> 431B </span>","children":null,"spread":false},{"title":"hdllib.ref <span style='color:#111;'> 196B </span>","children":null,"spread":false},{"title":"sub00","children":[{"title":"vhpl01.vho <span style='color:#111;'> 5.35KB </span>","children":null,"spread":false},{"title":"vhpl00.vho <span style='color:#111;'> 1.01KB </span>","children":null,"spread":false}],"spread":false}],"spread":false}],"spread":false},{"title":"left_right_leds_pad.txt <span style='color:#111;'> 64.38KB </span>","children":null,"spread":false},{"title":"left_right_leds.ngd <span style='color:#111;'> 17.59KB </span>","children":null,"spread":false},{"title":"left_right_leds_map.ngm <span style='color:#111;'> 32.19KB </span>","children":null,"spread":false},{"title":"left_right_leds.nc1 <span style='color:#111;'> 37B </span>","children":null,"spread":false},{"title":"Rotary_Encoder_Interface_for_Spartan-3E_Starter_Kit_rev2.pdf <span style='color:#111;'> 340.19KB </span>","children":null,"spread":false},{"title":"ledleft.dhp <span style='color:#111;'> 1.67KB </span>","children":null,"spread":false},{"title":"bitgen.ut <span style='color:#111;'> 465B </span>","children":null,"spread":false},{"title":"left_right_leds.vhd <span style='color:#111;'> 7.03KB </span>","children":null,"spread":false},{"title":"left_right_leds.bit <span style='color:#111;'> 277.21KB </span>","children":null,"spread":false},{"title":"left_right_leds.placed_ncd_tracker <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"_impact.log <span style='color:#111;'> 9.39KB </span>","children":null,"spread":false},{"title":"left_right_leds.pcf <span style='color:#111;'> 898B </span>","children":null,"spread":false},{"title":"_ngo","children":[{"title":"netlist.lst <span style='color:#111;'> 62B </span>","children":null,"spread":false}],"spread":false},{"title":"left_right_leds.drc <span style='color:#111;'> 38B </span>","children":null,"spread":false}],"spread":false}],"spread":true}],"spread":true}]

评论信息

  • Sam1997_ :
    只有led 我也会写 真的坑
    2021-03-11

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明