基于VGA和FPGA实现的打砖块(反弹球)游戏设计

上传者: xumo0611 | 上传时间: 2019-12-21 22:06:27 | 文件大小: 831KB | 文件类型: rar
数字逻辑设计课程大作业。3人合作完成 用VHDL语言实现,内含实验报告和源代码。 游戏特点有: 不同难度级别、 计分功能、 生命值、 绚丽结束画面、 砖块形转方便修改、 随机发射速度、 挡板不同位置反射角不同、 小球速度、挡板宽度可变 通过FPGA实验板和VGA测试。

文件下载

资源详情

[{"title":"( 31 个子文件 831KB ) 基于VGA和FPGA实现的打砖块(反弹球)游戏设计","children":[{"title":"源代码","children":[{"title":"pic9.vhd <span style='color:#111;'> 6.25KB </span>","children":null,"spread":false},{"title":"pic3life.vhd <span style='color:#111;'> 6.14KB </span>","children":null,"spread":false},{"title":"pic5.vhd <span style='color:#111;'> 6.25KB </span>","children":null,"spread":false},{"title":"picbrick3.vhd <span style='color:#111;'> 6.02KB </span>","children":null,"spread":false},{"title":"picscore.vhd <span style='color:#111;'> 6.02KB </span>","children":null,"spread":false},{"title":"brickplace.vhd <span style='color:#111;'> 6.94KB </span>","children":null,"spread":false},{"title":"picbrick1.vhd <span style='color:#111;'> 6.02KB </span>","children":null,"spread":false},{"title":"brickplace2.vhd <span style='color:#111;'> 6.95KB </span>","children":null,"spread":false},{"title":"pic2life.vhd <span style='color:#111;'> 6.14KB </span>","children":null,"spread":false},{"title":"flyball.vhd <span style='color:#111;'> 10.08KB </span>","children":null,"spread":false},{"title":"brick.vhd <span style='color:#111;'> 6.40KB </span>","children":null,"spread":false},{"title":"pic2.vhd <span style='color:#111;'> 6.25KB </span>","children":null,"spread":false},{"title":"gameover.vhd <span style='color:#111;'> 6.09KB </span>","children":null,"spread":false},{"title":"pic8.vhd <span style='color:#111;'> 6.25KB </span>","children":null,"spread":false},{"title":"pic7.vhd <span style='color:#111;'> 6.25KB </span>","children":null,"spread":false},{"title":"picball.vhd <span style='color:#111;'> 6.11KB </span>","children":null,"spread":false},{"title":"pic1.vhd <span style='color:#111;'> 6.25KB </span>","children":null,"spread":false},{"title":"transfer.vhd <span style='color:#111;'> 25.50KB </span>","children":null,"spread":false},{"title":"pic4life.vhd <span style='color:#111;'> 6.14KB </span>","children":null,"spread":false},{"title":"pic4.vhd <span style='color:#111;'> 6.25KB </span>","children":null,"spread":false},{"title":"picbrick2.vhd <span style='color:#111;'> 6.02KB </span>","children":null,"spread":false},{"title":"pic3.vhd <span style='color:#111;'> 6.25KB </span>","children":null,"spread":false},{"title":"piclife.vhd <span style='color:#111;'> 6.12KB </span>","children":null,"spread":false},{"title":"pic0.vhd <span style='color:#111;'> 6.08KB </span>","children":null,"spread":false},{"title":"welcome.vhd <span style='color:#111;'> 6.08KB </span>","children":null,"spread":false},{"title":"vga.vhd <span style='color:#111;'> 3.54KB </span>","children":null,"spread":false},{"title":"piclevel.vhd <span style='color:#111;'> 6.02KB </span>","children":null,"spread":false},{"title":"pic6.vhd <span style='color:#111;'> 6.25KB </span>","children":null,"spread":false},{"title":"picbar.vhd <span style='color:#111;'> 6.27KB </span>","children":null,"spread":false},{"title":"pic1life.vhd <span style='color:#111;'> 6.14KB </span>","children":null,"spread":false}],"spread":false},{"title":"基于FPGA和VGA接口的系统设计.pdf <span style='color:#111;'> 796.68KB </span>","children":null,"spread":false}],"spread":true}]

评论信息

  • m0_37255928 :
    还不错,介绍挺详细
    2017-08-09
  • mayuncool :
    还是很不错的。就是我的mif文件没有提供。需要自己实现
    2017-02-28
  • kiwinut :
    源代码很全,可惜没有完整工程作为参考
    2015-11-25
  • 半弦月丨 :
    代码比较全,报告也很详细,就是不知道能不能用DE0的板子运行
    2015-06-04
  • loveyansq :
    虽然后来还是自己写了一个,但是这个也有指导作用,谢谢分享
    2015-05-25

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明