VHDL8位加法器(含test_bench)

上传者: wuwupeak | 上传时间: 2019-12-21 21:58:05 | 文件大小: 72KB | 文件类型: doc
用VHDL语言描述的8位加法器,还包含一个test_bench。

文件下载

评论信息

  • lst_hit :
    还不错,来学习VHDL基本用法的,学习了
    2013-12-18
  • zxw6162 :
    嗯,不错。介绍了两种方法的加法器设计,并附带一个测试模块。挺全面的。
    2012-03-19
  • zqm_2000 :
    不是八位的加法器
    2011-12-12
  • jiangliutiandi :
    不是八位的加法器好不好?明明是十二位的,浪费我感情
    2011-10-25

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明