Verilog 实现DDS 产生正弦波

上传者: wangxumao520 | 上传时间: 2021-03-02 11:40:39 | 文件大小: 4KB | 文件类型: TXT
Verilog 实现DDS 产生正弦波 //******************顶层模块***********************// module ddS_top(clk,sin_out,dac_en,dac_rst,dac_sync,clk_p,clk2); input clk; //AD 时钟源 input clk2; //DA 时钟源 output[15:0] sin_out; output reg clk_p; output dac_sync; output dac_rst; output dac_en; wire[9:0] out_data; wire[9:0] address; wire dds_bps; /*wire EN,sel;

文件下载

评论信息

  • 水神洛沧 :
    东西不错,我已经成功的写出了自己的代码。
    2014-03-20
  • lyj_198898 :
    程序还是比较全哈,感谢分享
    2013-03-11

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明