数电实验报告——贪吃蛇(VHDL语言)

上传者: shuxiangxingkong | 上传时间: 2020-02-10 03:11:17 | 文件大小: 135KB | 文件类型: docx
本程序用VHDL语言编写 用一个 8×8 点阵做为基本显示屏,4 个连续移动的的发光点表示一条蛇,用任意 出现的一个亮点表示老鼠,用4 个排成一条线的发光点表示“墙”,用四个按键控制蛇的 运动方向,完成贪食蛇游戏,蛇撞“墙”、边或者游戏时间到,则游戏结束。 1. 老鼠出现的地方是随机的,在某个地点出现的时间是5 秒钟,如果5 秒钟之内没 有被吃掉,它就会在其它地方出现; 2. 用数码管显示得分情况和游戏的剩余时间,每吃掉一只老鼠就加一分

文件下载

评论信息

  • DJxyl :
    可以学习参考使用
    2018-10-27
  • yhr2407 :
    代码很清晰明了,对入门帮助很大,就是如果能改用 port map 分模块的话更好点
    2014-11-12
  • yiruma1 :
    很好的资源,代码很详细,对VHDL语言课程设计有很大的帮助
    2013-11-03
  • 野步僧 :
    不错的资源,代码比较详细。
    2013-09-06
  • louissimons :
    代码写的比较规范,可以照着写作为练习
    2013-08-19

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明