基于51单片机的电子秤设计

上传者: 36485954 | 上传时间: 2019-12-21 18:53:37 | 文件大小: 188KB | 文件类型: rar
基于51单片机的电子秤设计,包括lcd1602、矩阵键盘、MPX4115,含代码 proteus仿真图

文件下载

资源详情

[{"title":"( 56 个子文件 188KB ) 基于51单片机的电子秤设计","children":[{"title":"基于51单片机的电子秤设计","children":[{"title":"dianzicheng0.M51 <span style='color:#111;'> 17.59KB </span>","children":null,"spread":false},{"title":"dianzicheng1.OBJ <span style='color:#111;'> 35.64KB </span>","children":null,"spread":false},{"title":"mpx4115_test.lnp <span style='color:#111;'> 40B </span>","children":null,"spread":false},{"title":"mpx4115_test.M51 <span style='color:#111;'> 17.43KB </span>","children":null,"spread":false},{"title":"dianzicheng2.LST <span style='color:#111;'> 21.75KB </span>","children":null,"spread":false},{"title":"dianzicheng2.lnp <span style='color:#111;'> 56B </span>","children":null,"spread":false},{"title":"mpx4115_test.Opt <span style='color:#111;'> 726B </span>","children":null,"spread":false},{"title":"dianzicheng1.Opt <span style='color:#111;'> 1.13KB </span>","children":null,"spread":false},{"title":"mpx4115_test.Uv2 <span style='color:#111;'> 2.08KB </span>","children":null,"spread":false},{"title":"dianzicheng0.plg <span style='color:#111;'> 218B </span>","children":null,"spread":false},{"title":"电子秤proteus仿真+程序资料.JPG <span style='color:#111;'> 12.79KB </span>","children":null,"spread":false},{"title":"jpx.c <span style='color:#111;'> 14.05KB </span>","children":null,"spread":false},{"title":"dianzicheng1.Uv2 <span style='color:#111;'> 2.06KB </span>","children":null,"spread":false},{"title":"mpx4115_test <span style='color:#111;'> 15.80KB </span>","children":null,"spread":false},{"title":"dianzicheng2.hex <span style='color:#111;'> 8.01KB </span>","children":null,"spread":false},{"title":"dianzicheng0.Opt <span style='color:#111;'> 1.10KB </span>","children":null,"spread":false},{"title":"dianzicheng1.lnp <span style='color:#111;'> 56B </span>","children":null,"spread":false},{"title":"dianzicheng2_Opt.Bak <span style='color:#111;'> 1.14KB </span>","children":null,"spread":false},{"title":"dianzicheng0.c <span style='color:#111;'> 8.31KB </span>","children":null,"spread":false},{"title":"dianzicheng0.OBJ <span style='color:#111;'> 17.05KB </span>","children":null,"spread":false},{"title":"mpx4115_test.hex <span style='color:#111;'> 5.04KB </span>","children":null,"spread":false},{"title":"mpx4115_test.PWI <span style='color:#111;'> 1.38KB </span>","children":null,"spread":false},{"title":"dianzicheng1_Opt.Bak <span style='color:#111;'> 1.29KB </span>","children":null,"spread":false},{"title":"dianzicheng0.Uv2 <span style='color:#111;'> 2.08KB </span>","children":null,"spread":false},{"title":"LCD+ADC0832.OBJ <span style='color:#111;'> 16.73KB </span>","children":null,"spread":false},{"title":"dianzicheng1.c <span style='color:#111;'> 14.04KB </span>","children":null,"spread":false},{"title":"dianzicheng0.LST <span style='color:#111;'> 15.81KB </span>","children":null,"spread":false},{"title":"dianzicheng2.Uv2 <span style='color:#111;'> 2.08KB </span>","children":null,"spread":false},{"title":"mpx4115_test.plg <span style='color:#111;'> 193B </span>","children":null,"spread":false},{"title":"mpx4115_test.pdsprj.TOSHIBA.KERLEE.workspace <span style='color:#111;'> 2.15KB </span>","children":null,"spread":false},{"title":"dianzicheng0.lnp <span style='color:#111;'> 56B </span>","children":null,"spread":false},{"title":"key.h <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"dianzicheng0 <span style='color:#111;'> 16.07KB </span>","children":null,"spread":false},{"title":"dianzicheng2.plg <span style='color:#111;'> 218B </span>","children":null,"spread":false},{"title":"LCD+ADC0832.LST <span style='color:#111;'> 15.60KB </span>","children":null,"spread":false},{"title":"dianzicheng0_Opt.Bak <span style='color:#111;'> 1.10KB </span>","children":null,"spread":false},{"title":"dianzicheng0_Uv2.Bak <span style='color:#111;'> 1.96KB </span>","children":null,"spread":false},{"title":"Last Loaded mpx4115_test.DBK <span style='color:#111;'> 156.84KB </span>","children":null,"spread":false},{"title":"Thumbs.db <span style='color:#111;'> 5.00KB </span>","children":null,"spread":false},{"title":"dianzicheng0.hex <span style='color:#111;'> 5.13KB </span>","children":null,"spread":false},{"title":"mpx4115_test_Opt.Bak <span style='color:#111;'> 855B </span>","children":null,"spread":false},{"title":"dianzicheng1.plg <span style='color:#111;'> 201B </span>","children":null,"spread":false},{"title":"dianzicheng2.OBJ <span style='color:#111;'> 28.34KB </span>","children":null,"spread":false},{"title":"dianzicheng2.M51 <span style='color:#111;'> 27.89KB </span>","children":null,"spread":false},{"title":"dianzicheng1_Uv2.Bak <span style='color:#111;'> 2.08KB </span>","children":null,"spread":false},{"title":"dianzicheng2.c <span style='color:#111;'> 10.92KB </span>","children":null,"spread":false},{"title":"mpx4115_test_Uv2.Bak <span style='color:#111;'> 1.96KB </span>","children":null,"spread":false},{"title":"LCD+ADC0832.c <span style='color:#111;'> 8.18KB </span>","children":null,"spread":false},{"title":"dianzicheng2 <span style='color:#111;'> 25.24KB </span>","children":null,"spread":false},{"title":"dianzicheng1.LST <span style='color:#111;'> 26.51KB </span>","children":null,"spread":false},{"title":"mpx4115_test.pdsprj.DESKTOP-BI868QF.KerLee.workspace <span style='color:#111;'> 328B </span>","children":null,"spread":false},{"title":"dianzicheng2_Uv2.Bak <span style='color:#111;'> 2.11KB </span>","children":null,"spread":false},{"title":"dianzicheng2.Opt <span style='color:#111;'> 1.15KB </span>","children":null,"spread":false},{"title":"mpx4115_test.DSN <span style='color:#111;'> 156.84KB </span>","children":null,"spread":false},{"title":"dianzicheng1.M51 <span style='color:#111;'> 35.05KB </span>","children":null,"spread":false},{"title":"dianzicheng1.hex <span style='color:#111;'> 7.36KB </span>","children":null,"spread":false}],"spread":false}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明