用FPGA实现SATA硬盘控制器

上传者: 21739445 | 上传时间: 2019-12-21 20:33:59 | 文件大小: 1.44MB | 文件类型: rar
使用FPGA实现的sata控制器,sataIP内核适合初学者学习

文件下载

资源详情

[{"title":"( 1 个子文件 1.44MB ) 用FPGA实现SATA硬盘控制器","children":[{"title":"FPGA SATA.kdh <span style='color:#111;'> 1.52MB </span>","children":null,"spread":false}],"spread":true}]

评论信息

  • rzt1995 :
    好像不对,下载的压缩文件解压后无法打开
    2019-06-05
  • rzt1995 :
    好像不对,下载的压缩文件解压后无法打开
    2019-06-05
  • qq_33334249 :
    主要内容是一篇论文,有IP核或者准备编译IP核的,有参考价值!
    2017-02-27
  • 花村程序源 :
    主要内容是一篇论文,有IP核或者准备编译IP核的,有参考价值!
    2017-02-27

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明