I2C slaver 从机 Verilog代码实现

上传者: opensky12345 | 上传时间: 2019-12-21 20:25:00 | 文件大小: 7KB | 文件类型: v
实现I2C从机的Verilog代码,简洁,且有注解,有利于帮助理解和实现。

文件下载

评论信息

  • 想忘江湖 :
    代码可用,注释还不够详细,如果用于自己的情景则需要修改。
    2018-03-12
  • lovetangkun :
    Quartus ii里面编译都出错啊?
    2016-04-22
  • zgf156 :
    挺详细,对于一个初学者能再多加点注释就更好了。
    2015-11-04
  • winxxpSoft :
    还好需要修改一下,才能使用
    2015-08-26
  • Jony_oy :
    不错的,挺详细,对于一个初学者能再多加点注释就更好了
    2015-08-21

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明