Verilog课程设计自动售货机

上传者: lingfeidiewu | 上传时间: 2019-12-21 20:08:57 | 文件大小: 89KB | 文件类型: docx
1)设计一个自动售货机,此机能出售1.5元、2元两种商品。出售哪种商品可有顾客按动相应的一个按键即可,并同时用数码管显示出此商品的价格。可同时购买两种、多件商品。 2)顾客投入硬币的钱数有5角、1元两种。此操作通过按动相应的两个按键来模拟,并同时用数码管将投币额显示出来。 3)顾客投币后,按一次确认键,如果投币额不足时则报警灯亮。如果投币额足够时自动送出货物(送出的货物用相应不同的指示灯显示来模拟),同时多余的钱应找回,找回的钱数用数码管显示出来,库存显示减少。 4)顾客一旦按下取消键,自动售货机即可自动恢复到初始状态,此时才允许顾客进行下一次购货操作。 5)售货机还应具有供商家供货功能,可通过两个按键增加两种商品的库存量,有数码管显示库存量。 6)此售货机要设有两个由商家控制的复位键,可分别将两种商品清零。

文件下载

评论信息

  • woniu5211 :
    非常适合新手学习,值得一看
    2016-11-23
  • AUVer :
    有用,但代码写得不好,不值这个积分啊
    2014-07-03
  • dianqiguzhou :
    很不错,如果有测试代码就好更好了
    2012-06-11

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明