modelsim中代码覆盖率使用详解

上传者: jackinzhou | 上传时间: 2019-12-21 19:57:50 | 文件大小: 1.84MB | 文件类型: doc
Modelsim代码覆盖率功能Code coverage,能报告出statement(语句)、branch(分支)、condition(条件)、expression(表达)、toggle(信号翻转)、fsm(有限状态机)等多种覆盖率情况。 详细见博客:http://blog.csdn.net/jackinzhou/article/details/7897189

文件下载

评论信息

  • samzhudream :
    不错,对着文档操作了一遍,基本会用了。
    2018-07-19
  • broken_arrow :
    很好,解了燃眉之急,很实用
    2018-06-14
  • jackchen012 :
    写的很详细,需要的可以试一试
    2014-12-01
  • NTD_Helene :
    很好,解了燃眉之急,很实用
    2013-06-16
  • dd920241162 :
    很详细,很受用
    2013-05-09

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明