ad7276 verilog

上传者: huangying0928 | 上传时间: 2019-12-21 19:54:37 | 文件大小: 42KB | 文件类型: rar
一个基于verilog的fpga程序,AD采集

文件下载

资源详情

[{"title":"( 15 个子文件 42KB ) ad7276 verilog","children":[{"title":"ad706_7276","children":[{"title":"vsim.wlf <span style='color:#111;'> 48.00KB </span>","children":null,"spread":false},{"title":"adg732_7276.mpf <span style='color:#111;'> 30.26KB </span>","children":null,"spread":false},{"title":"ad706_7276.v <span style='color:#111;'> 8.79KB </span>","children":null,"spread":false},{"title":"work","children":[{"title":"ad7276","children":[{"title":"verilog.asm <span style='color:#111;'> 45.62KB </span>","children":null,"spread":false},{"title":"_primary.vhd <span style='color:#111;'> 2.62KB </span>","children":null,"spread":false},{"title":"_primary.dat <span style='color:#111;'> 7.60KB </span>","children":null,"spread":false}],"spread":true},{"title":"_temp","children":null,"spread":false},{"title":"top2","children":[{"title":"verilog.asm <span style='color:#111;'> 15.41KB </span>","children":null,"spread":false},{"title":"_primary.vhd <span style='color:#111;'> 68B </span>","children":null,"spread":false},{"title":"_primary.dat <span style='color:#111;'> 2.21KB </span>","children":null,"spread":false}],"spread":true},{"title":"_info <span style='color:#111;'> 417B </span>","children":null,"spread":false}],"spread":true},{"title":"adg732_7276.cr.mti <span style='color:#111;'> 465B </span>","children":null,"spread":false},{"title":"ad706_7276.v.bak <span style='color:#111;'> 8.79KB </span>","children":null,"spread":false},{"title":"top2.v <span style='color:#111;'> 2.09KB </span>","children":null,"spread":false},{"title":"top2.v.bak <span style='color:#111;'> 2.09KB </span>","children":null,"spread":false},{"title":"transcript <span style='color:#111;'> 389B </span>","children":null,"spread":false}],"spread":true}],"spread":true}]

评论信息

  • denkywu :
    小学期课程设计用的,下下来参考,很大的帮助
    2013-11-14

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明