基于fpga产生正弦波的Verilog程序

上传者: daizuoning | 上传时间: 2019-12-21 19:37:51 | 文件大小: 5KB | 文件类型: v
采用FPGA存储正弦波的256个点,分别输出,可以产生较好的正弦波,如果要产生其他的频率,只需改变分频比即可,即num的值。已经通过实物验证

文件下载

评论信息

  • LuluGuo'sBlog :
    挺好用的资源,有参考价值
    2019-02-13
  • 想成为大佬的夏夏 :
    还是很不错的
    2018-02-01
  • tussaud2011 :
    写的有条理,对自己有很大的参考作用
    2016-07-14
  • u011144524 :
    我觉得一个正弦波不需要这么麻烦,直接就正弦波生成器生成一组数据,寄存在ram里,然后就可以一个一个读取出来,这样更简单。楼主的方法貌似对于新手还是很困难啊
    2015-06-19
  • klsn94klsn :
    思路很好 , 就是不是很灵活
    2014-05-29

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明