verilog编程fpga通过IIC读取mpu6050数据

上传者: chensunjie | 上传时间: 2014-02-20 00:00:00 | 文件大小: 9K | 文件类型: txt
使用verilog HDL语言编写IIC协议,用FPGA读取mpu6050数据,其他可用IIC读数器件操作类似

文件下载

评论信息

  • weixin_43697740 :
    这个兼容mpu6500吗?
    2020-09-02
  • hclrda :
    你这是一顿操作猛如虎,完全不管从机是否响应啊……
    2019-12-25
  • qq_40292050 :
    正在调试,希望好用吧
    2018-06-04
  • 参天大葱 :
    还不错的东西,在调试中,谢谢分享
    2018-04-25
  • zhaielu3714 :
    好 可以用 已经稳稳的
    2017-11-24

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明