流水线CPU代码设计

上传者: boy560 | 上传时间: 2020-01-03 11:17:35 | 文件大小: 31KB | 文件类型: zip
北航计算机组成课程设计 支持20条指令的流水线CPU的Verilog代码实现,内包含源代码和相应的测试文件

文件下载

资源详情

[{"title":"( 37 个子文件 31KB ) 流水线CPU代码设计","children":[{"title":"test","children":[{"title":"text.asm <span style='color:#111;'> 42B </span>","children":null,"spread":false},{"title":"test_zimu.asm <span style='color:#111;'> 1.88KB </span>","children":null,"spread":false},{"title":"111.asm <span style='color:#111;'> 15.94KB </span>","children":null,"spread":false},{"title":"srl.asm <span style='color:#111;'> 366B </span>","children":null,"spread":false},{"title":"text_transfer.asm <span style='color:#111;'> 4.16KB </span>","children":null,"spread":false},{"title":"text_movz.asm <span style='color:#111;'> 15.72KB </span>","children":null,"spread":false},{"title":"text_bgezal.asm <span style='color:#111;'> 15.24KB </span>","children":null,"spread":false},{"title":"text_stall.asm <span style='color:#111;'> 1.20KB </span>","children":null,"spread":false},{"title":"text_wenbin.asm <span style='color:#111;'> 1021B </span>","children":null,"spread":false},{"title":"xor.asm <span style='color:#111;'> 494B </span>","children":null,"spread":false},{"title":"seh.asm <span style='color:#111;'> 3.28KB </span>","children":null,"spread":false},{"title":"bne.asm <span style='color:#111;'> 701B </span>","children":null,"spread":false},{"title":"bgezal_0.asm <span style='color:#111;'> 171B </span>","children":null,"spread":false},{"title":"or.asm <span style='color:#111;'> 398B </span>","children":null,"spread":false}],"spread":false},{"title":"src","children":[{"title":"IF_D.v <span style='color:#111;'> 855B </span>","children":null,"spread":false},{"title":"sign_compare.v <span style='color:#111;'> 783B </span>","children":null,"spread":false},{"title":"npc.v <span style='color:#111;'> 1010B </span>","children":null,"spread":false},{"title":"extend.v <span style='color:#111;'> 712B </span>","children":null,"spread":false},{"title":"D.v <span style='color:#111;'> 2.31KB </span>","children":null,"spread":false},{"title":"M_W.v <span style='color:#111;'> 1.24KB </span>","children":null,"spread":false},{"title":"ctrl.v <span style='color:#111;'> 3.38KB </span>","children":null,"spread":false},{"title":"dm.v <span style='color:#111;'> 1.63KB </span>","children":null,"spread":false},{"title":"E_M.v <span style='color:#111;'> 1.28KB </span>","children":null,"spread":false},{"title":"mips.v <span style='color:#111;'> 4.83KB </span>","children":null,"spread":false},{"title":"W.v <span style='color:#111;'> 1.50KB </span>","children":null,"spread":false},{"title":"MUX.v <span style='color:#111;'> 473B </span>","children":null,"spread":false},{"title":"CMP.v <span style='color:#111;'> 784B </span>","children":null,"spread":false},{"title":"E.v <span style='color:#111;'> 2.92KB </span>","children":null,"spread":false},{"title":"alu.v <span style='color:#111;'> 1.02KB </span>","children":null,"spread":false},{"title":"Hazard.v <span style='color:#111;'> 12.35KB </span>","children":null,"spread":false},{"title":"M.v <span style='color:#111;'> 1.40KB </span>","children":null,"spread":false},{"title":"im.v <span style='color:#111;'> 700B </span>","children":null,"spread":false},{"title":"pc.v <span style='color:#111;'> 811B </span>","children":null,"spread":false},{"title":"mipstest.v <span style='color:#111;'> 913B </span>","children":null,"spread":false},{"title":"D_E.v <span style='color:#111;'> 1.19KB </span>","children":null,"spread":false},{"title":"IF.v <span style='color:#111;'> 1.14KB </span>","children":null,"spread":false},{"title":"gpr.v <span style='color:#111;'> 1.10KB </span>","children":null,"spread":false}],"spread":false}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明