出租车 计价器 微机原理课程设计 汇编语言写的,基本实现了对应的功能
2019-12-21 20:12:56 208KB 汇编 计价器
1
2.1,出租车计价器的要求: ◇ 行程3公里内(包括3公里),且等待累计时间2分钟内(包括2分钟),起步费为10元; ◇ 3公里外(不包括3公里)以每公里2元,等待累计时间2分钟外(不包括2分钟)以每分钟以1.0元计费。 ◇ 能显示行驶公里数、等待累计时间和最后的总费用。 本计费器的显示范围为0~99元,计价分辨率为1元;计程器显示范围为0~99公里,分辨率为1公里;计时器的显示范围是分钟的显示范围是0—99, 辨率为1分钟。秒的显示范围是0—59。辨率为1秒。
2019-12-21 20:12:08 1023KB FPGA 出租车计价器
1
基于汇编语言上设计的出租车计价器,可以当课程设计用 ,很标准的一个设计
2019-12-21 20:08:46 660KB 汇编 计价器
1
使用Verilog硬件描述语言编写的出租车计价器,编写环境为Quartus ii 9.0,硬件平台为Cyclone EP1C6Q240C8. 实现主要功能如下: -输入时钟为系统晶振50Mhz. -两个开关分别控制:开始/停止计费,出租车行进中/停止等待 -一个开关控制所有数据的复位 -两个开关组合控制显示4种数据:当前计价(单位:元,精确到角)/当前行进总距离(单位:千米,精确到10m)/当前等待时间(单位:分,精确到分)/起步价内行进距离(单位:千米,精确到10m,详见计费规则) -计费规则:起步价9元/3千米,超出起步价部分2.4元/千米,停车等待时间内1元/10分钟(不足10分钟不计费)。 注:在起步价9元范围内,可算作是3元/千米,此时停车等待产生的费用也按照1元/10分钟折算到起步价内;即3元/千米的标准产生的行进费用与等待费用之和小于9元即视为起步价范围。(eg. 行进2千米,等待10分钟,总价为9元而非10元) 作为Verilog硬件描述语言初学者的入门项目,主要内容包含分频器、计数器、计算与数码管显示模块的简单实现与应用,具有一定的参考价值。
2019-12-21 20:02:16 3.68MB Verilog 课程设计 quartus_ii
1
基于FPGA的出租车计价器设计verilog源代码
2019-12-21 20:00:18 2.85MB FPGA 出租车计价器 verilog源代码
1
基于STM32的出租车计价器系统,里面有PPT,实验报告
2019-12-21 18:51:39 56.58MB STM32 出租车计价器 PPT
1
出租车计程计价器,具有车型设置、起步里程设置、起步价设置、里程显示(采用4位七段数码管)、计费显示(采用4位七段数码管),采用FPGA器件EP1C6Q240C8,开发系统采用QuartusII。
2019-12-21 18:48:41 2.5MB 出租 计价 计费 taximeter
1